Showing posts with label nanosheet. Show all posts
Showing posts with label nanosheet. Show all posts

Jul 12, 2023

[chapter] GAA Transistors

Srivastava, Shobhit, and Abhishek Acharya
Challenges and future scope of gate-all-around (GAA) transistors
in "Device Circuit Co-Design Issues in FETs"; 
Shubham Tayal et al. (Editors)
231 CRC Press, 22 Aug 2023 - Technology & Engineering

Introduction: No doubt, FinFET technology is the slogger of today's semiconductor world. But as demand for further scaling with a desire for ultra-low-power and high-speed applications results in undesired short-channel effects, a new transistor is required. This is where gate-all-around (GAA) devices come into being. The GAA structure helps to mitigate unwanted short-channel effects by enhancing channel controllability. In GAAFETS, the channel surrounds all of its sides through a high-K and interfacial oxide layer. Thanks to science and technological innovation, the GAAFET family brings together different transistors and their competitive benefits. This chapter tries to answer why and how 3D devices emerge. In addition to the limitation of FinFET (a 3D device, gate surrounded by three sides), it further talks about the scope and challenges of different competitive GAAFET members (nanowire FET, nanosheet FET, junctionless nanosheet FET, complementary PET, and forksheet FET) of the GAAFET family. It is worth mentioning that a smaller benefit of the device performance exerts a massive performance enhancement on circuit-level applications. However, the advantages of device enhancement concurrently exaggerate the limitation of devices at circuit-level applications. So, an elaborated idea of GAAFETs holding the benefits and challenges at the circuit is also discussed here.


FIG: Structural evolution of transistors from planar to 3D forksheet FET technology


Jan 12, 2022

[paper] Compact Modelling of Si Nanowire/Nanosheet MOSFETs

A. Cerdeira1, M. Estrada1, and M. A. Pavanello2
On the compact modelling of Si nanowire and Si nanosheet MOSFETs
Semiconductor Science and Technology, vol. 37, no. 2, p. 025014, Jan. 2022.
DOI: 10.1088/1361-6641/ac45c0
   
1 Centro de lnvestigacién y de Estudios Avanzados del IPN, Mexico City, Mexico
2 Centro Universitario PEI, Sao Bernardo do Cainpo, Sao Paulo, Brazil


Abstract: In this paper, three-dimensional technology computer aided design simulations are used to show that the electron concentration, current density, and electric field distribution from the interface at the lateral channels and from the top channel to the centre of the silicon wire, in nanowire and nanosheet structures, are practically same. This characteristic makes it possible to consider that the total channel width for these structures is equal to the perimeter of the transistor sheet, allowing to extend of the application of the symmetric doped double-gate model (SDDGM) model to nanowires and nanosheets metal-oxide-semiconductor field effect transistors, with no need to include new parameters. The model SDDGM is validated for this application using several measured and simulated structures of nanowires and nanosheets transistors, with different aspect ratios of fin width and fin height, showing very good agreement between measured or simulated characteristics and modelled. SDDGM is encoded in Verilog-A language and implemented in SPICE circuit simulator.

Fig: a.) Normalized measured and modelled transfer characteristics of stacked transistor in the linear region at VDS=0.025V and in saturation region at VDS=0.75V; b.) Output characteristic and conductance at VGS=1V.

Acknowledgments: The authors are grateful to CEA—Leti for providing the exper- imental samples used in this paper. This work was supported by the CONACYT project 236887, CNPq, Sao Paulo Research Foundation (FAPESP) Grants 2015/ 1049 1-7 and 2019/ 15500- 5, and the IBM/STMicroelectronics/Leti Joint Development Alliance.

 

Jul 6, 2021

[paper] Nanosheet FETs

Girija Nandan Ka
Nanosheet FETs
figshare: Silicon on Insulator and Advanced MOSFET based Structures, 
17-Jan-2021 DOI: 10.6084/m9.figshare.13600961.v1.

Abstract: The modern microprocessor is one of the world’s most advanced systems, but at the core of this device it is, what we believe, is a transistor. At present there are billions and billions of microprocessor, and they are all somewhat identical. So improving the performance and boosting the density of these transistors is the most straightforward way to make microprocessors, and the computers they power, work better.
Fig.1 Electrochemical lithiation process for the fabrication of 2D nanosheets 
from the layered bulk material.

Fig.1 Electrochemical lithiation process for thefabrication of 2D nanosheets from the layered bulkmaterial.




Jun 25, 2021

[paper] Nanosheet field effect transistors

J. Ajayana, D. Nirmalb, Shubham Tayala, Sandip Bhattacharyaa, L. Arivazhaganc, A.S. Augustine Fletcherb, P. Murugapandiyand, D. Ajithae
Nanosheet field effect transistors - A next generation device to keep Moore’s law alive:
An intensive study
Microelectronics Journal 114 (2021) 105141
DOI: 10.1016/j.mejo.2021.105141

a SR University, Warangal, Telangana, India
b Karunya Institute of Technology and Sciences, Coimbatore, Tamilnadu, India
c Sri Ramakrishna Engineering College, Coimbatore, Tamilnadu, India
d Anil Neerukonda Institute of Technology & Sciences, Visakhapatnam, Andhra Pradesh, India
e Sreenidhi Institute of Science and Technology, Hyderabad, Telangana, India


Abstract: Incessant downscaling of feature size of multi-gate devices such as FinFETs and gate-all-around (GAA) nanowire (NW)-FETs leads to unadorned effects like short channel effects (SCEs) and self-heating effects (SHEs) which limits their performance and causes reliability issues. FinFET technology has resulted in a remarkable performance up to a feature size of 7nm. The research community is expecting that GAA NW-FETs will take over FinFET technology from 7nm to 5nm. However, further shrinking of feature size to 3nm will impose severe challenges to the performance of these aforesaid multi-gate devices. Subsequently, the electron device designer community needs to look for alternative device designs like nanosheet FETs (NS-FETs) to overcome the limitations of the FinFET and GAA NW-FETs technologies. The driving force behind the emergence of these NS-FETs is their ability to scale down even below a feature size of 5nm with negligible short channel effects. Therefore, in this review article we have intensively investigated the NS-FETs in terms of impact of geometrical scaling, substrate material effects, parasitic channel effects, thermal effects, compatibility with different metal gates, and source/drain (S/D) metal depth effect. Consequently, it can be concluded that vertically stacked NS-FET is the most promising solution for future digital/analog integrated circuit applications due to their outstanding capability to keep Moore’s Law alive.

Fig: 3-D views of (a) FinFET (b) stacked NW-FET (c) vertically stacked NSFET.















May 3, 2021

[paper] FET Library for VLSI

Taehak Kim1, Jaehoon Jeong2, Seungmin Woo2, Jeonggyu Yang1, Hyunwoo Kim2 Ahyeon Nam2, Changdong Lee2, Jinmin Seo2, Minji Kim2, Siwon Ryu2, Yoonju Oh2, and Taigon Song1,2  
NS3K : A 3nm Nanosheet FET Library for VLSI Prediction in Advanced Nodes 
IEEE ISCAS, 2021, pp. 1-5, DOI 10.1109/ISCAS51556.2021.9401055.

1School of Electronics Engineering, Kyungpook National University (KNU), Daegu 41566, South Korea
2School of Electronic and Electrical Engineering, Kyungpook National University (KNU), Daegu 41566, South Korea


Abstract: Nanosheet FETs (NSFETs) are expected as future devices that replace FinFETs beyond the 5nm node. Despite the importance of the devices, few studies report the impact of NSFETs in the full-chip level. Therefore, this paper presents NS3K, the first 3nm NSFET library, and presents the results in a full-chip scale. Based on our results, 3nm NSFET reduces power by -27.4%, total wirelength by -25.8%, number of cells by -8.5%, and area by -47.6% over 5nm FinFET, respectively, due to better devices and interconnect scaling. However, careful device/layout designs followed by routing-resource considering standard cells are required to maximize the advantages of 3nm technology. 

Fig: Projected 3nm NSFET library development flow. Upper side of each step shows the names of required tools. Each colored-boxes correspond to the steps required for specific tasks: The blue boxes - device development, the orange boxes - digital design, and the green boxes - back end design, respectively.

Acknowledgements: This research was supported by National R&D Program through the National Research Foundation of Korea (NRF) funded by Ministry of Science and ICT (2020M3H2A1078045). The EDA tool was supported by the IC Design Education Center(IDEC), Korea. This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MSIT) (No.2019R1G1A109470212).



Aug 28, 2020

TSMC: All the Processes, All the Fabs

TSMC Technology Symposium: All the Processes, All the Fabs
by Paul McLellan at breakfast-bytes
27 Aug 2020

TSMC has new transistor structure (nanosheet) and new materials such as high mobility channel, 2D, carbon nanotube (CNT). TSMC has already demonstrated at 32Mb nanosheet SRAM fully-functional at 0.46V. It has also identified promising 2D materials such as MoS2 (molybdenum disulfide). At IEDM last year, they disclosed the first BEOL CNT power-gating device integrated with silicon-based CMOS.
Scaling continues with EUV advances with the current generation of scanners. They are also working with ASML (the only supplier of EUV equipment) on High-NA EUV [read more...]