Showing posts with label Placement. Show all posts
Showing posts with label Placement. Show all posts

Mar 28, 2024

[paper] Chip Placement with Deep Learning

Azalia Mirhoseini, Anna Goldie, Mustafa Yazgan, Joe Jiang, Ebrahim Songhori, Shen Wang, Young-Joon Lee, Eric Johnson, Omkar Pathak, Sungmin Bae Azade, Nazi Jiwoo, Pak Andy, Tong Kavya Srinivasa, William Hang, Emre Tuncer, Anand Babu Quoc, Le James Laudon, Richard Ho, Roger Carpenter, Jeff Dean
Chip placement with deep reinforcement learning
arXiv preprint:2004.10746 (2020)

Abstract: In this work, we present a learning-based approach to chip placement, one of the most complex and time-consuming stages of the chip design process. Unlike prior methods, our approach has the ability to learn from past experience and improve over time. In particular, as we train over a greater number of chip blocks, our method becomes better at rapidly generating optimized placements for previously unseen chip blocks. To achieve these results, we pose placement as a Reinforcement Learning (RL) problem and train an agent to place the nodes of a chip netlist onto a chip canvas. To enable our RL policy to generalize to unseen blocks, we ground representation learning in the supervised task of predicting placement quality. By designing a neural architecture that can accurately predict reward across a wide variety of netlists and their placements, we are able to generate rich feature embeddings of the input netlists. We then use this architecture as the encoder of our policy and value networks to enable transfer learning. Our objective is to minimize PPA (power, performance, and area), and we show that, in under 6 hours, our method can generate placements that are superhuman or comparable on modern accelerator netlists, whereas existing baselines require human experts in the loop and take several weeks.

Fig: Visualization of placements. On the left, zero-shot placements from the pre-trained policy and on the right, placements from the finetuned policy are shown. The zero-shot policy placements are generated at inference time on a previously unseen chip. The pre-trained policy network (with no fine-tuning) places the standard cells in the center of the canvas surrounded by macros, which is already quite close to the optimal arrangement and in line with the intuitions of physical design experts.

Acknowledgments: This project was a collaboration between Google Research and the Google Chip Implementation and Infrastructure (CI2) Team. We would like to thank Cliff Young, Ed Chi, Chip Stratakos, Sudip Roy, Amir Yazdanbakhsh, Nathan Myung-Chul Kim, Sachin Agarwal, Bin Li, Martin Abadi, Amir Salek, Samy Bengio, and David Patterson for their help and support.


Jan 3, 2017

On Layout Tools and others

A while ago SolvEx Group has posted a blog note on the Layout Tools, including the open source ones, too. There are also a few questions which are worth to review again:
  1. How is Layout different from Placement and Route?
  2. What is the difference between Synopsys Astro and Cadence Virtuoso-do they offer layout or are just placement and routing tools? (Comparing them with Magic and LASI)!
  3. What is the intermediate map/snapshot/diagram - which we can use and create a complete chip out of? For example after seeing the Chip and reverse engineering the same- what is that something which I can use to create my own chip in the foundry? Reference - Chinese Mobile chips. They do the same-as they bypass the flow for design entry/verification/simulation/floor planning etc and release the chip within a few hrs of seeing the original chip(say famous case of duplicating iPhone/Nokia in the Chinese markets)
  4. Are Stick Diagrams passed to the Foundry or else what is the base unit that is given to Foundry as an input to be manufactured as a chip.
  5. Giving below a collection all possibly available Layout Tools (Categorized as Open Source, Cheap, Expensive)
Open source software Description Web site
wol Wol is a graphical environment for IC mask layout http://www.cs.berkeley.edu/~lazzaro/chipmunk/describe/wol.html
toped Micron based layout editor with extensive scripting capabilities. Under active development and part of Fedora Electronic Lab. http://www.toped.org.uk
microwind3 Lambda based layout editor especially adapted for interactive design with Spice. This used to be completely free, but now only a Lite version is. http://www.microwind.org
magic Lambda based layout editor with good options for writing CIF and/or GDS files. Supports scripting. Large user base. Part of
Fedora Electronic Lab. Used for extraction and CIF/GDS creation by the pharosc libraries
http://opencircuitdesign.com/magic
lasi LASI stands for LAyout Software for Individuals. It is designed to run on Windows, though it also runs on Linux under Crossover Office.
Actively used software with frequent updates.
http://lasihomesite.com
kic Part of open source packages released by Whiteley Research. http://wrcad.com/freestuff.html
graal Lambda based layout editor allowing conversion to CIF and GDS with appropriate technology files. Dreal is the companion software to view CIF and GDS. Part of a tool set from Alliance which is probably the best open-source software for IC design. Comes with own standard cell library. Part of
Fedora Electronic Lab. The pharosc standard cells are drawn with graal.
http://www-asim.lip6.fr/recherche/alliance
electric Comprehensive set of software programs designed around the concept of silicon compilation. Version 6 crashed a lot, and stored all design data in a single file which exposed one to the risk of file corruption and loss of all data (I speak from experience).
New version written in Java. Extensive documentation.
http://www.staticfreesoft.com/productsSoftware.html
dreal Simple layout editor which uses CIF or GDS as its native format. Companion software is Graal. http://www-asim.lip6.fr/recherche/alliance
Cheap software
xic Whiteley Research Inc. Layout editor with linked Spice simulator. List price is $1195. http://www.wrcad.com/xic.html
slam-edit Stabie-Soft Inc. Unix/Linux based layout editor. It seems a licence cannot be purchsed, only leased for one year periods (bad if the company folds). List price on web site is $2,000 per year. http://www.stabie-soft.com/sledit.html
ledit Tanner Research Inc. Windows only layout editor popular with mixed signal designers. Ledit sed to cost $1,000, but this price could not be verified (which is surprising since low price is a key selling point of the software). http://www.tanner.com/EDA/product/Tools_PhysicalLayout.html
layedpro Mycad Inc. Windows only layout editor designed in Korea but supported for English language users from California. No new product since 2005 on US site, but Korean site seems active. No price could be confirmed. http://www.mycad.com/02pro/01.html
http://www.mycad.co.kr
layed Catena Software GmbH. Demo versions for Linux and Windows can be downloaded. List price of the basic editor might be €1,585 (could not be recently verified). http://www.catena-ffo.de/laytools.htm
iced IC Editors Inc. Windows only editor that used to cost $2,750. Now it is free but with a restrictive licence. Work is on-going to open source it which might make it available under Linux (although the Windows drawing primitives would need to be replaced with GTK). http://www.iceditors.com
Expensive software
virtuoso Cadence Design Systems, Inc. The market leader. The price might be $40,000 to lease for one year. http://www.cadence.com/products/custom_ic/veditor/index.aspx
max Micro Magic Inc. Looks like a commercial version of Magic. Price is $30,000 for a one year licence. Despite the fancy price tag, something was freely downloadable from the web in the 2004 timeframe. http://www.micromagic.com
laker Silicon Canvas Inc. Linux and Unix based editor. Top of the line laker-ddl is $70,000 for a one year licence. Regular Laker 3 is $35,000 for a one year licence. http://www.sicanvas.com
icstation Mentor Graphics Corp. No public pricing information could be found. http://www.mentor.com/cicd/icstation.html