Showing posts with label Reconfigurable. Show all posts
Showing posts with label Reconfigurable. Show all posts

Oct 31, 2023

[paper] Analog System Synthesis for Reconfigurable Computing

Afolabi Ige, Linhao Yang, Hang Yang, Jennifer Hasler, and Cong Hao
Analog System High-Level Synthesis for Energy-Efficient Reconfigurable Computing
J. Low Power Electron. Appl. 2023, 13, 58. 
DOI: 10.3390/jlpea1304005

* Electrical and Computer Engineering (ECE), Georgia Institute of Technology (USA)

Abstract: The design of analog computing systems requires significant human resources and domain expertise due to the lack of automation tools to enable these highly energy-efficient, high-performance computing nodes. This work presents the first automated tool flow from a high-level representation to a reconfigurable physical device. This tool begins with a high-level algorithmic description, utilizing either our custom Python framework or the XCOS GUI, to compile and optimize computations for integration into an Integrated Circuit (IC) design or a Field Programmable Analog Array (FPAA). An energy-efficient embedded speech classifier benchmark illustrates the tool demonstration, automatically generating GDSII layout or FPAA switch list targeting.

Figure: The analog synthesis tool flow to generate a design on a large-scale Field Programmable Analog Array (FPAA) or an Application-Specific Integrated Circuit (ASIC). A single user-supplied high-level description goes through multiple lowering steps to reach the targeted output, either GDSII or a switch list. For targeting an FPAA, a design can either be specified through the GUI in XCOS (a pre-existing flow) or through the new text-based Python flow. Users construct circuits and systems using class objects provided in the Python cell library that mirror the palette browser in the XCOS library, and the description is then lowered into a Verilog syntax. The FPAA path lowers to Blif netlist, fitting into our preexisting flow compiling a switch list to target the FPAA. For targeting an ASIC, users perform similar steps to construct a system from Python objects with cells made available in the provided library. Those Python objects are then converted to a Verilog netlist before being fed to the layout synthesis modules, which handle placement and global routing. These serve as inputs to the open-source detailed router (TritonRoute) to convert the guide to a path. That path is merged with the placement file to create a final output layout file.

Funding: Partial funding for the development of this effort came from NSF (2212179).

Jul 1, 2021

[paper] 20 Years of Reconfigurable Field-Effect Transistors

T. Mikolajick1,2, G. Galderisi1, M. Simon1, S. Rai3, A. Heinzig2, A. Kumar3
W.M. Weber4, J. Trommer1
20 Years of Reconfigurable Field-Effect Transistors: From Concepts to Future Applications 
22th Conference on Insulating Films on Semiconductors 
INFOS2021
28 June-2 July 2021, Rende, Italy

1 NaMLab GmbH, Nöthnitzer Str. 64a, Dresden, Germany
2 Chair of Nanoelectronics, TU Dresden, Germany
3 Chair of Processor Design, TU Dresden, Dresden, Germany
4 Chair of Nanoelectronics, TU Wien, Vienna, Austria


Outline
  • Introduction
  • The Reconfigurable Field Effect Transistor
  • Early Phase
  • Device Outgrowth
  • Functional Diversification
  • Summary and Outlook