Showing posts with label OpenVAF. Show all posts
Showing posts with label OpenVAF. Show all posts

Mar 22, 2023

[analog-wg] Video of March 21 AWG Meeting

The Analog Workgroup (AWG) was formed by the CHIPS Alliance TSC to explore collaborations in open source Analog/Mixed-Signal design and verification. It focuses on sharing best practices, ideas, tooling (analog automation), and other challenge areas in the design space. The workgroup is composed of both industry and university members.

The AWG Video Meeting on March 21, 2023 included two presentations:
  • Ken Kundert "Why Fund OpenVAF"
  • Pascal Kuthe "OpenVAF: An innovative open-source Verilog-A Compiler"

Please note the following line of topics for the Analog Workgroup
  • 4th April: Update from Tim Edwards: Magic and PEX extraction
  • 18th April: Update from Sadayuki Yoshitomi: Ecosystem of compact model development 
  • 2nd May (tentative): Update from C. Enz,EPFL:  test structures measurements

Feb 13, 2023

FOSS Verilog-A Models Repository


Dietmar Warning, ngspice team, has announced his new github project VA-Models repository 
<https://github.com/dwarning/VA-Models>

These Verilog-A model code repository is a compilation of the most important models in the state of public FOSS availability. The intention is to have one place for model access and a platform for discussion and integration into simulators.

At the moment, the models will be compiled by script with openVAF and checked with ngspice version 39. Code changes are introduced only for convergence support or to fulfill Verilog-A language standard requirements. Model equations are untouched. But I am open to integrate code modifications for other compiler/simulator companions as far they are inline with actual LRM 2.4. Simple test case are provided, mainly to show general functionality of the compiled models. 

Don't hesitate to contact Dietmar Warning, ngspice team, if there is something wrong, especially in kind of legal aspects. All the contributions are welcome.

Dec 20, 2022

[OpenVAF] Next-Generation Verilog-A Compiler

OpenVAF is a Next-Generation Verilog-A compiler
that empowers the open source silicon revolution

Roadmap: OpenVAF is still in development and there many goals we aim to achieve in the longterm:

  • Noise analysis (planned for 2023)
  • Reaching full compliance with the Verilog-A standard
  • Behavioral modelling features
  • Support for features that allow defining full circuits/full PDKs in Verilog-A
  • OSDI integration in Xyce
  • Improved documentation
  • A detailed paper about the technical innovations in OpenVAF and attendance at international conferences
We, OpenVAF Developers, are always looking for cooperation partners, please do not hesitate to contact SemiMod GmbH.

Circuit simulators play a critical role in the design of electrical circuits. Accurate simulations enable circuit designers to validate circuit behavior before actual fabrication happens, potentially saving significant re-design costs. The simulation of a circuit critically depends on the so-called compact models and therefore:

  • The accuracy of the compact-model equations
  • The quality of the model parameters
Compact models predict the device terminal characteristics by means of computationally inexpensive equations. With increasingly advanced technologies, compact models have been growing significantly in complexity. At the same time an increasingly diverse set of technologies is offered to designers, requiring specific compact models for each kind of electron device.
The complexity of compact models has made the manual integration into simulators a tedious, error-prone and therefore expensive task. One reason for this is that not only the model equations have to be implemented, but also their symbolic derivatives. Numeric derivatives are not an option because they are orders of magnitude slower to compute than analytical derivatives and can introduce convergence problems due to inaccuracies. It is not uncommon - even in commercial tools - to find model implementation bugs or to observe convergence problems that result from incorrectly implemented derivatives. Some simulators with no or limited Verilog-A integration do not implement certain compact-models and can therefore not be used to simulate some processes at all.
Manually implemented compact models may differ between simulators since EDA vendors often rename parameters or alter particular model equations. Due to these simulator specific peculiarities, PDKs can usually only be used by a few specific simulators.

Verilog-A has been developed to address these problems and has become the de-facto standard for developing and distributing compact models. It allows implementing compact models via a simulator independent and standardized language. Verilog-A compilers can translate these models to machine code and allow simulators to use these models without manually implementing them. Verilog-A enables:

  • model development and customization by allowing to quickly modify the model equations without having to worry about model implementation details.
  • implementing behavioral or data-driven models, or even entire circuits.
  • inherent portability between simulators for both models and PDKs that would not be possible with traditional netlist-based formats.
Model development and customization is necessary for advanced technologies and applications, for example quantum computing, where existing models cannot provide satisfactory results and must be adjusted. It also enables research and development.